admin管理员组

文章数量:1558103

今天第一次使用Xilinx的开发软件VIVADO 2015.2进行BASYS 3的开发,然后经历Synthesis ,  Implementation 之后的第三步骤Program and Debug中,在Generate Bitstream这个环节出现了错误,查看一下Log,发现了如下错误。


[Drc 23-20] Rule violation (NSTD-1) Unspecified I/O Standard - 3 out of 3 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify al

本文标签: 报错portsUserVivadoLogical