admin管理员组

文章数量:1536098


2024年6月7日发(作者:)

维普资讯

第16卷第9期 

光学精密工程 

Vol_16 NO.9 

2008年9月 

Optics and Precision Engineering 

Sep.2008 

《空间光学遥感器》专题文章导读 

刘金国 

中国科学院长春光学精密机械与物理研究所 

以空间站和卫星为空间飞行平台,利用立体测绘相机进行航天摄影,对地球表面进行立体测量,可以提供包括数字 

地形图、数字正射影像图、数字高程图(DEM)、三维地形景观图等测绘产品,因此,立体测绘相机在国土测绘、资源普查、 

自然灾害监测、土木工程和城市规划、通讯视线测定、飞行模拟等领域有广泛的应用前景,对促进我国的国民经济建设和 

数字地球的实现有着重要的实际意义,其中CCD立体测绘相机在地球摄影测量中受到越来越多国家的重视,已成为地 

外星球摄影测量的主要传感器。而测绘相机性能的提高,对系统结构设计、可靠性、热控设计等也提出了新的要求。本 

专栏论文主要涉及到透射、反射式光学元件支撑结构的设计、分析、装配及试验验证;面阵CCD的特殊工作模式应用设 

计;高可靠性星载嵌入式软件的设计、测试方法等针对测绘相机技术需求的研究与应用。 

《面阵CCD芯片KAI~1010M的高速驱动系统设计》一文,采用脉冲宽度调制技术实现CCD驱动,以高速运放驱动 

对信号沿建立时间要求高的负压信号,达到了高速驱动的要求。 

《行间转移面阵CCD的TDI工作方式研究》一文,针对在面阵CCD相机和目标间存在快速相对运动时曝光不足导 

致图像信噪比低的问题,提出面阵CCD的TDI工作方式实现方法,设置合适的TDI级数,使图像质量有很大提高。 

《基于混合编程的空间相机控制器的自检方法》一文,提出了DSP空间相机控制器自检方法,降低了像移计算的实 

现难度,给出的自检算法的验证方法在工程应用中实用有效。 

《大口径空间遥感相机主反射镜支撑设计》一文,提出采用不同的柔性环节独立约束反射镜自由度的设计思想,经工 

程分析优化设计参数,降低了反射镜面形变化,满足了运载发射的冲击和振动力学条件。 

《测绘相机光学镜筒设计、加工及装配》一文,精心选取材料,经工程分析优化结构,设计出薄壁壳体透镜支撑结构, 

加工、装配后镜头光学传递函数达到0.43(77 lp/ram)。 

《星载嵌入式软件测试过程模型的研究》一文,针对星载嵌入式软件的可靠性要求,提出适用于软件测试过程的蝴蝶 

模型,适用于星载嵌入式软件研制多周期的特点,对保证软件可靠性提供了有力支持。 

文章编号 1004—924X(2008)09—1622—07 

面阵CCD芯片KAI—IOIOM的高速驱动系统设计 

刘金国 ,余 达 ,周怀得 ,李广泽 ,吕世良 ,孔德柱 

(1.中国科学院长春光学精密机械与物理研究所,吉林长春130033; 

2.中国科学院研究生院,北京100039) 

摘要:介绍了行间转移面阵CCD芯片KAI一1010M的内部结构和驱动时序,采用新方法和低成本器件设计了该CCD芯 

片的驱动电路,把电源和电机控制的脉冲宽度调制技术引入CCD驱动电路,采用超高速运放驱动高速负压信号以减小 

其上升沿和下降沿时间,达到高速低成本驱动要求,解决了驱动设计中的技术难点。实验结果表明,此CCD驱动系统采 

用低成本的器件,性能好、成本低、能够同时输出两路CCD电压信号,数据输出速率达15 frame/s,满足空间测绘相机的 

系统设计要求。若进一步改进电路,数据输出速率可达27 frame/s。 

关键词:CCD芯片;KAI一1010M;高速驱动电路;脉冲宽度调制 

中图分类号:TN386.5;P231 文献标识码:A 

收稿日期:2008—02—26:修订日期:2008—03—28. 

基金项目:中国科学院“优秀博士学位论文、院长奖获得者科研启动专项基金”资助项目 

维普资讯

第9期 刘金国,等:面阵CCD芯片KAI—IOIOM的高速驱动系统设计 1623 

Design of high speed driver system for area。・CCD KAI-・1010M 

LIU Jin—guo ,YU Da ~,ZHOU Huai—de ,LI Guang—ze ,LET Shi—liang ,KONG De—zhu 

(1.Changchun Institute of Optics,Fine Mechanics and Physics,Chinese Academy of Sciences, 

Changchun 130033,China;2.Graduate University of Chinese Academy of Sciences,Beijing 100039,China) 

Abstract:Atier introducing to the inner structure and the driver timing of a interline area—CCD KAI一 

1010M briefly,a high speed and low cost CCD driver circuit used in digital space survey camera was 

designed in a new way.In order to resolve the technical difficulties,the pulse width modulation tech— 

nology usually used in power supply and motor control was introduced into the CCD driver circuit and 

a ultra—high speed operational amplifier was adopted to reduce the rise time and fall time of high speed 

negative signa1.Experimental results show that the CCD driver system can output tWO groups of CCD 

signals simultaneously at data output speed of 15 frame/s,which can meet the requirements of the 

camera system because of its good function and low cost.If the circuit is improved further,the CCD 

driver system can output tWO groups of CCD signals at data output speed of 27 frame/s. 

Key words:CCD chip;KAI-1010M;high speed driver circuit;pulse width modulation 

引 —: 口  

2 KAI一1010M的 

内部结构及驱动 

CCD具有信号输出噪声低、动态范围大、量 

时序简要分析 

子效率高以及电荷转移效率高等优点_1],其应用 

已从可见光扩展到了紫外甚至x射线_2。]。作为 

KAI一1010M是1 M像素的行间转移CCD 

获取图像的关键器件,CCD目前已广泛应用于各 

图像传感器,其内部结构如图1所示。KAI—1010 

个领域[4 ]。根据阵列排布方式的不同,CCD成 

包括l 024×1 024个光电二极管、1 024个垂直 

像器件分为线阵和面阵两大类。 

移位寄存器、以及独立双输出结构的水平移位寄 

在以往的空间测绘中,线阵CCD器件工艺技 

存器 。 

术相对成熟,价格比较低廉,使用起来比较方便, 

~ 

应用也较为广泛。随着现代技术的发展,面阵 

o一 

——

o I 

一 

CCD器件工艺技术得到了很大提高,价格也大幅 

Adl…ge… 

}l 

—・—一 (× )——一 -a

度下降,同时空间测绘的要求逐步提高,面阵 

9(I ̄.tlnX9.0 m pixels 嚣 

』f  }量 

CCD器件在空间测绘领域也越来越受重视。 

CCD图像传感器对相机的性能起非常关键 

0 ark line s ai lop of  imag e :  ;

L ___一 W…nnt l… tPr A 1 

的作用,因此,实现CCD驱动电路是空间测绘相 

] du…s z mie I 

ht■■_Horizonlal regislerB■■■

一 

机系统设计的关键之一。cCD驱动电路主要由 

! 

驱动时序产生电路、偏置电压产生电路和驱动器 

电路组成[6]。面阵CCD的驱动电路设计比线阵 

图1 KAF1010M内部结构示意图 

CCD复杂,需采用新方法来满足其高速低成本的 

Fig.1 Inner structure of KAI-1010M 

要求。 

CCD工作时,首先衬底出现快门脉冲,随后 

维普资讯

光学精密工程 第16卷 

开始图像信号光积分阶段,接着 上的高电平 

把光敏区的感应图像信号快速由一列成像单元转 

移到相邻的一列存储单元,接下来通过 和 

上的时钟把存储区的电荷逐行转移至水平移 

位寄存器,最后通过H 、H H。和 R的时钟 

把水平移位寄存器上的图像信号电荷包逐个转移 

到浮置扩散输出节点。浮置扩散输出节点的电势 

随每包中的电荷量呈线性变化。复位时钟( ̄bR) 

移除浮置扩散节点的电荷,复位其电压到复位漏 

极电压(V o)。 

3驱动系统设计 

CCD芯片驱动电路系统框图如图2所示。 

价格低廉的LC4256V芯片是这一驱动系统的核 

心器件,它产生符合CCD芯片频率和相位要求的 

时序信号。由于LC4256V产生的时序信号为正 

电压且驱动能力有限,需经驱动器件驱动后方可 

加到CCD芯片上。CCD输出的信号为带有固定 

图像噪声和暗电流的模拟信号,采用相关双采样 

法可以使KTC噪声等效电子数从几百个减小到 

十几个甚至更小l_8],且电路简单易集成化凹]。相 

关双采样、可控增益放大和模/数转换,这些工作 

由视频预处理芯片VSP2262来完成。 

时序脉冲产生电路 

动电路l网 篱l动电路I I…一  

LC4256V芯片 

⑧匦 ● 

/ADC l毅掌僖萼 姒于 I萄 

篁SP茎22塞62 广——l 一 

西 ]输出12俯 

诵 预处理电路败 F——一 

图2 CCD驱动电路系统结构图 

Fig.2 Structure of CCD driving system 

3.1面阵CCD驱动时序的VHDL描述 

由于面阵CCD相机驱动时序的复杂性,选用 

硬件描述语言VHDL设计CCD时序。VHDL 

采用自顶向下的设计方式,具有很强的系统硬件 

描述能力和系统仿真能力 m川。设计复杂的 

CCD驱动时序,关键是如何使用VHDL语言来 

描述CCD时序关系。 

整个程序是一个多进程结构,每个输出信号 

的时序关系都在一个进程中描述。由于各输出信 

号都是周期性信号,故在各信号的一个周期内描 

述其高低电平变化,为避免毛刺产生采用同步时 

序设计,所有的高低电平变化都是在参考时钟的 

上升沿完成,仿真结果如图3所示。 

图3 CCD仿真时序图 

Fig.3 Simulated timing diagram of CCD 

3.2 CCD偏置电压的设计 

VRD的电压经电阻分压和大电容滤波获得, 

其余电压直接由电源提供。 

3.3驱动电路主要难点的解决 

面阵CCD驱动电路设计的难点:(1)信号的 

种类多,包括帧、垂直和水平转移信号等;(2)电 

子快门要求衬底电压平时为7~15 V,快门期间 

峰值瞬间变为4O V;(3)V 的三电平工作状态 

(高+9 V,低一9 V,中间电平为0 V);(4)工作 

电压的低电平多为负压,集成驱动器不能在负压 

下工作;(5)电压值不规范,高电平值分别为0 V、 

+5 V、+3 V,低电平值分别为一9 V、一6 V、一7 

V;(6)复位脉冲为负压,且脉冲宽度极窄(10 ns 

左右),要求其上升沿和下降沿时间短。前三项在 

般线阵CCD驱动电路设计中从未遇见过。 

3.3.1 负压驱动 

常见的驱动芯片不能在负压下工作,负压的 

驱动方法有3种: 

(1)采用三极管驱动,适合上升沿和下降沿要 

求不高的控制信号。如图4所示,当输入FDG— 

IN为高时,三极管Q (8550)处于截止状态,输出 

FDG

OUT电压为一6 V;当FDG—IN为低时, 

Q 处于饱和状态,FDG—OUT电压为+5 V。 

(2)采用驱动芯片正压驱动后经交流耦合、箝 

维普资讯

第9期 刘金国,等:面阵CCD芯片KAI一1010M的高速驱动系统设计 

位输出,适合上升沿和下降沿要求较高的控制信 

号。如图5所示,信号V 一 经U 驱动,经电容 

C。 耦合,再经二极管DI箝位后,输出信号 

的高、低电平分别为0、一9 V。 

(3)采用超高速运放驱动,适合对信号上升沿 

和下降沿要求极高的控制信号。如图7所示,U 

对输入信号RW进行反相放大,输出信号R— 

OUT为与RW成线性比例的反相信号。 

图4负压的三极管驱动方式 

Fig.4 Driving way of negative voltage by using BJT 

M 

lO 

图5 三电平的驱动器驱动方法 

Fig.5 Driving way of three—state voltage by using drivers 

3.3.2 三电平状态(零电平、正、负电平)驱动 

率至少应为1 GHz,如此以来必定增加成本;(2) 

使用专用脉宽发生器_1 ,如DAI I AS SEMI— 

CONDUCTOR的DS1040,但其输出脉宽只有几 

种固定值,且不易购买;(3)采用脉宽调制电路。 

信号具有3种电平状态(零、正和负电 

平),同时电平幅值较高(高电平+9 V,低电平一 

9 V)。如图5所示,当 。 为高电平时,U 产生 

峰峰值为9 V的输出信号;当V 一 由高变低时, 

L, 的电源端被升压到18 V,使V 产生出三电平 

信号。 

3.3.3 高速窄复位信号的纳秒级脉冲宽度调节 

及驱动 

种方式是把输入的同频方波信号积分成三角 

波,再与一基准电压送人高速比较器进行比较,通 

过改变基准电压来改变输出脉宽,但此种方式电 

路复杂。下面介绍本系统采用的一种经济实用方 

式:采用与非门、电阻和电容实现。如图6所示, 

当R—IN为‘L’时,RW为“H”,L, A输出为“H”, 

电容G端子电压经充电后变为“H”;当R—IN为 

复位脉冲信号的典型宽度为10 ns,可根据实 

际应用环境对其宽带进行调节。产生此窄脉冲的 

方法为:(1)使用工作频率极高的可编程逻辑器 

件,采用主时钟计数,令其在某些时间段输出高电 

平。此方式输出脉冲的最小宽度与计数时钟频率 

成反比,欲对脉宽实现纳秒级调节,则计数时钟频 

“H”时,U 输出为‘I ’,电容C。上的电荷经SV 

放电,在(=13上的电压未降到门限电压之前RW 

为‘L’,之后RW恢复为“H”,即在R—IN的上升 

沿输出一个低电平脉冲,脉宽为T. ̄RCl_】 。 

维普资讯

光学精密工程 第16卷 

图6 高速窄脉冲信号宽度调制 

Fig.6 Pulse width modulation of high frequency and 

narrow width signal 

由于复位信号极窄,对其上升沿和下降沿要 

求极高。采用三极管如9015和常见高速运放如 

AD849(摆率300 V/vs)、AD811(摆率2 500 v/“s)驱 

动及高速驱动芯片如EL7156(最高工作频率可达 

40 MHz)正压驱动后经交流耦合、箝位输出都难 

以满足要求;采用T】的超高速运放THS3O61(摆 

率7 000 V/us)反相放大可满足要求,如图7所 

示。 

SG 

图7高速窄脉冲信号的驱动方式 

Fig.7 Driving way of high frequency and narrow 

width signal 

3.3.4 电子快门的实现 

KAI一1010M为防止高光溢出提供一种结构, 

可实现溢出保护和曝光时间可调节功能。溢出保 

护功能通过加在器件衬底的直流电压来实现,若 

足够大的电压脉冲(峰值约4O V)加到衬底,所有 

光电二极管内电荷被抽空,随后开始光积分阶段, 

实现电子快门功能。为此要求加到衬底上的直流 

电压为7~15 V,在电子快门期间衬底上的电压 

瞬间变为40 V,在通常的CCD驱动电路上很难 

见到如此高的电压。 

如图8所示,在静态时,SHUTTER—IN输 

入为高电平,偏置电路使Q。(9014)处于饱和状 

态,使Q (9015)处于截至状态,两只三极管的公 

共集电极处电压约为一2O V,输出端直流电压为 

+15 V经R22与R 的分压;当SHUTTER—IN 

出现负跳变时,Q。迅速截止,Q 迅速导通,公共 

集电极处电压迅速由一20 V上升至+2O V,在输 

SGND 

S 

SGND 

图8底层电压的驱动方式 

Fig.8 Driving way of substrate voltage 

出端便可产生出峰值约40 V的电子快门脉冲,可 

通过适当降低正负端电源电压使输出信号的最高 

值为40 V。 

3.3.5 电磁兼容性的实现 

针对系统中有多种频率信号(最低为15 Hz, 

最高为40 MHz),且电平值相差较大(最低为 

9 V,最高为+40 V)这一难点,本文提出以下 

解决方法:将模拟和数字部分电路分开;采用电源 

丌型滤波;对高频敏感信号包地;通过增加低频信 

号的上升沿、下降沿时间来减少其对周围的电磁 

辐射;在各电路板采用板间连接器连接缩短连线; 

在各信号问加地线隔离。同时在原理图和PCB 

设计阶段使用Cadence软件对其进行仿真,保证 

信号的完整性和电磁兼容性。 

3.4实验结果及部分实测波形 

调试中,水平转移时钟为lO MHz,复位脉冲 

宽度在8~25 ns内,采用两路数据同时输出。采 

用示波器DL9710L(采样率5 GHz,带宽1 GHz) 

进行测试,经测试各驱动信号的高、低电平均在正 

常工作电压范围内,垂直转移信号V 、 和FDG 

的上升沿、下降沿时间在0.2~0.4 s之间,电子 

快门的上升沿、下降沿时间在3~5 s之间,水平 

转移信号H 、H 和H 的上升沿、下降沿在 

图9三电平信号实测波形 

Fig.9 Real waveform of the three-state signal 

维普资讯

第9期 刘金国,等:面阵CCD芯片KAI一1010M的高速驱动系统设计 1627 

12~14 ns之间,复位脉冲的上升沿、下降沿时间 

为5.6 ns。部分实测波形即三电平、复位和电子 

快门信号如图9、10和11所示。 

4 结 论 

该CCD驱动系统采用低成本器件设计,具有 

图1o复位信号实测波形 

Fig.1 0 Real waveform of reset signal 

性能好,设计灵活,成本低的特点。实验证明,该 

CCD驱动系统能够同时输出两路CCD电压信 

号,数据输出速率达15 frame/S,能够满足空间 

测绘相机系统的设计要求,设计中的一些新方法 

可作为以后CCD驱动设计的参考。负压下的高 

速驱动是CCD驱动系统的一个难题,若进一步改 

进PCB布局和布线,采用TI超高速运放 

THS3201(摆率为10 500 V/ ̄s)驱动水平转移信 

图11 电子快门信号实测波形 

Fig.1 1 Real waveform of electronic shutter signal 

号,水平转移时钟可达到20 MHz,数据输出速率 

可达27 frame/S。 

参考文献: 

[1] 薛旭成,李云飞,郭永飞.CCD成像系统中模拟前端设计[J].光学精密工程,2007,15(8):1191—1195. 

XUE X CH,LI Y F,GUO Y F.Design of analog front end of CCD imaging system[J].Opt.Precision Eng., 

2007,15(8):1191 1195.(in Chinese) 

[2] 

闰丰,于子江,于晓,等.电晕探测紫外ICCD相机图像噪声分析与处理lj].光学精密工程,2006,14(4):709—713. 

YAN F,YU z J,YU x,et a1..Noise analysis and processing of ultraviolet ICCD for corona detection[J].Opt. 

Precision Eng.,2006,14(4):709—713.(in Chinese) 

[33 李哲,贾欣志.x射线敏感ICCD图象传感器研究[J].光学精密工程,2005,13(6):754—758. 

I I ZH.JIA X ZH.Research on X-ray sensitive ICCD image sensor[J].Opt.PrecisionEng.,2005,13(6):754— 

758.(in Chinese) 

[4] 

JON A H,CHRIST()PHER J B,CASERTAN S,et a1..The photometric performance and calibration of WFPC2 

Ec].1dentifiers Publications of the Astronomical Society of the Pacific,1995,107:1065. 

[5] 

ROBBERTO M,STIAVELLI M,O’CONNELL,R W,et a1..Selection of the infrared detectors for wide field cam— 

era 3 on the hubble space telescope[C].Focal Plane Arrays'or Space Telescope,SPIE,2004,5167:166—174. 

[6] 

盛翠霞,张涛,纪晶,等.高分辨率CCD芯片FTF4052M的驱动系统设计[J].光学精密工程,2007,15(4):564—569. 

SHENG C X,ZHANG T,JI J,Pt nz..Design of CCD FTF4052M driver system with hign sensitivity[J].Opt. 

Precision Eng.,2007,15(4):564—569.(in Chinese) 

ErE 

KODAK DEVICE PERF0RMANcE SPECIFICATION Revision MTD/PS-0502,October 17,2006[Z]. 

E8] 

MCCURNIN T W.Signal processing for low level,high precision CCD imaging[J].SPIE,1991,1448:225—235- 

[9] 

DOTY J P,I.UPPING G A,RICHER G R.Design of low noise,high performance X—ray charge-coupled device cam— 

维普资讯

光学精密工程 第16卷 

era[J].0pt.Eng.,1987,26:829—836. 

[1O] 陈学飞,汶德胜,郑培云.基于CPI D的面阵CCD驱动时序发生器设计及其硬件实现I-J].光子学报,2007,36 

(增):282—285. 

CHEN X F,WEN D SH。ZHENG P Y.Design on driving schedule generator for array CCD and handware based 

on CPLD[J].Acta Photonica Sinica,2007,36(Supp.):282—285.(in Chinese) 

[11]CENTEN P.CCD-on—chip amplifiers:noise performance versus MOS transistor dimension[J].IEEE Trans Elec— 

tron Devices,1991,38(5):1205—1206. 

[12]KODAK USERS MANUAL Revision 1.0 MTD/PS一0867,February 9,2006 F-z]. 

[13]稻叶保.模拟技术应用技巧101例[M].北京:科学出版社,2006. 

DAO Y B.Analog Gijyutsu Sense UP 101[M].Beijing:Science Press,2006.(in Chinese) 

作者简介:刘金国(1968一),男,吉林长春人,研究员,主要从事CCD图像传感器应用技术的研究。E—mail:liujg@ciomp. 

ac.cn 

通讯作者:余达(1980一),男,四川内江人,硕士研究生,主要研究方向为CCD图像传感器应用技术。E—mail:yuda83@ 

tom.com 

●下期预告 

基于光纤的三维电子散斑干涉测量系统设计 

周文静 。,于瀛洁 

(1.上海大学精密机械工程系,上海200072;2.广东海洋大学自动化系,广东湛江524088) 

为了实现物体三维变形非接触式测量,设计了基于电子散斑干涉技术的三维变形测量系统。该系 

统中采用了一分五型分束光纤,这根光纤在系统中起到分光和传光的作用,使系统所需器件相比一般的 

设计系统要少。为了获得变形的量化数值,系统将电子散斑技术与相移技术结合在一起,由压电陶瓷引 

入相移,并采用“4+1”相移算法计算变形量。该系统可以实现面内及离面变形的独立测量,进而实现物 

体三维变形的测量。文中首先以带缺陷的木板为对象进行了加热变形测量,检测其面内、离面变形的敏 

感度。继以钢板为测试对象,进行了应力三维变形的测量,得其离面变形量为5 m。结果表明设计系 

统可以实现各种变形的测量。 


本文标签: 驱动信号设计