admin管理员组

文章数量:1535068

2024年5月22日发(作者:)

Debussy使用指南

一、Debussy介绍

Debussy 是NOVAS Software, Inc(思源科技)发展的HDL Debug & Analysis

tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL

source code、schematic diagram、waveform、state bubble diagram之间,即时做trace,

协助工程师debug。注:本文使用的Debussy版本为:54v9。

Debussy本身不含模拟器(simulator),必须呼叫外部模拟器(如Verilog-XL or

ModelSim)产生FSDB file,其显示波形的单元"nWave"透过读取FSDB file,才能

显示波形或讯号值的变化。

二、启动与导入

启动Debussy后,需要导入已编译成功的v文件以及相应的testbench

导入文件:FileImport Design

三、nTrace介绍

3-1在Hierarchy browser点击

引用的所有模块

“+”可以展开这个testbench所

3-2 点击左侧的模块名称,右边的source code window就会立即切换到相应的

module

本文标签: 波形模块使用导入显示